Setup ZYBO Z7 and Install Vivado

Environment

  • Ubuntu 16.04
  • ZYBO Z7 10
  • Vivado 2017.4

Test Operation

Just connect HDMI and USB cable to ZYBO.

Uninstall Old Vivado

$ sudo rm -R ./.Xilinx/
$ sudo rm -R ./.Xil
$ sudo /opt/Xilinx/.xinstall/Vivado_2017.4/xsetup -Uninstall

Download

https://www.xilinx.com/support/download.html
Xilinx_Vivado_SDK_Web_2017.4_1216_1_Lin64.bin

Insatll Vivado

$ cd ~/Downloads
$ sh Xilinx_Vivado_SDK_Web_2017.4_1216_1_Lin64.bin

Install Cable Drivers

$ cd /opt/Xilinx/Vivado/2018.2/data/xicom/cable_drivers/lin64/install_script/install_drivers
$ sudo ./install_drivers
INFO: Installing cable drivers.
INFO: Script name = ./install_drivers
INFO: HostName = Compaq8200
INFO: Current working dir = /opt/Xilinx/Vivado/2018.2/data/xicom/cable_drivers/lin64/install_script/install_drivers
INFO: Kernel version = 4.4.0-130-generic.
INFO: Arch = x86_64.
Successfully installed Digilent Cable Drivers
--File /etc/udev/rules.d/52-xilinx-ftdi-usb.rules does not exist.
--File version of /etc/udev/rules.d/52-xilinx-ftdi-usb.rules = 0000.
--Updating rules file.
--File /etc/udev/rules.d/52-xilinx-pcusb.rules does not exist.
--File version of /etc/udev/rules.d/52-xilinx-pcusb.rules = 0000.
--Updating rules file.

INFO: Digilent Return code = 0
INFO: Xilinx Return code = 0
INFO: Xilinx FTDI Return code = 0
INFO: Return code = 0
INFO: Driver installation successful.
CRITICAL WARNING: Cable(s) on the system must be unplugged then plugged back in order for the driver scripts to update the cables.
$ sudo adduser $USER dialout

Download Digilent’s board files

https://reference.digilentinc.com/reference/software/vivado/board-files#installation

$ cd ~/Downloads
$ wget https://github.com/Digilent/vivado-boards/archive/master.zip
$ unzip master.zip
$ cd /opt/Xilinx/Vivado/2017.4/data/boards
$ ls board_files
ac701  kcu116  li-imx274-mipi  ultra96  xm105  zc702  zcu104  zcu106  zed
$ sudo cp -r board_files board_files_backup
$ cd /opt/Xilinx/Vivado/2017.4/data
$ sudo cp -r ~/Downloads/vivado-boards-master/new/board_files boards
$ ls /opt/Xilinx/Vivado/2017.4/data/boards/board_files
ac701        arty-z7-10   cora-z7-07s     nexys4_ddr   zcu104      zybo-z7-20
arty         arty-z7-20   cora-z7-10      nexys_video  zcu106
arty-a7-100  basys3       genesys2        sword        zed
arty-a7-35   cmod_a7-15t  kcu116          ultra96      zedboard
arty-s7-25   cmod_a7-35t  li-imx274-mipi  xm105        zybo
arty-s7-50   cmod-s7-25   nexys4          zc702        zybo-z7-10

Start Vivado

$ source /opt/Xilinx/Vivado/2017.4/settings64.sh
$ sudo -s
$ vivado

License

Vivado Design Suite: HL WebPACK 2015 and Earlier License

Congrats. This is Vivado.

Reference