Simple LED Blink Program on ZYBO Z7

This is my memo about how did I run simple program on Zybo Z7 with this tutorial.

Environment

  • Ubuntu 16.04
  • ZYBO Z7 10
  • Vivado 2018.2

Create New Project

Uncomment .xdc file

Zybo-Z7-Master.xdc

##Clock signal
set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { sysclk }]; #IO_L12P_T1_MRCC_35 Sch=sysclk
create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { sysclk }];

##LEDs
set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { led0 }]; #IO_L23P_T3_35 Sch=led[0]
#set_property -dict { PACKAGE_PIN M15   IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L23N_T3_35 Sch=led[1]
#set_property -dict { PACKAGE_PIN G14   IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_0_35 Sch=led[2]
#set_property -dict { PACKAGE_PIN D18   IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L3N_T0_DQS_AD1N_35 Sch=led[3]

Create .v file

blimky.v

module blimky(
    input sysclk,
    output led0
    );
    reg [24:0] count = 0;
    assign led0 = count[24];
    always @ (posedge(sysclk)) count <= count + 1;
endmodule

Result

Got a schematic.

Upload it and blink!

Reference